你现在位置:首页>技术论文>软件知识>正文
QuartusII软件使用详细的步骤说明(3)
日期:2010-3-11 10:14:37 点击:
来源:本站整理
作者:
点击【】放大字体.

8.按照自己的想法,在新建的VHDL文件中编写VHDL程序。

WWcW_PLCJS_COM-PLC-技.术_网

9.代码书写结束后,选择Processing>Start Compilation对编写的代码进行编译,直到编译通过。
WWW_PLC※JS_COM-PmLC-技.术_网

10.编译通过后,对试验中用到的LED进行管脚绑定。选择Assignments> Pins,会出现管脚分配对话框,如图2.1.11所示。
——可——编——程——控-制-器-技——术——门——户


11.首先选择对应的引脚,然后在location中按表2.1-1中端口引脚对应关系输入VHDL设计中对应的端口名称引脚号,如图2.1.12所示。

WWW_PLC※JS_COM-PmLC-技.术_网


12.对于复用的引脚,需要做进一步处理。选择Assignments> Settings--> Device and Pin Options---,在弹出的对话框中首先选择Configuration标签,在Configuration中选择Passive Serial(can use Configuration Device)一项,再选择Dual Purpose Pins标签,在ASD0,nCS0选项中选择Use as regular IO,如图2.1.13所示。配置结束后点击确认即可。

WWcW_PLCJS_COM-PLC-技.术_网

 
WWW_PLC※JS_COM-PLC-技.术_网(可编程控※制器技术门户)


13.在剩下的Settings对话框红点击OK。然后再编译一次。

WW.W_PLC※JS_C,OM-PL,C-技.术_网

14.编译无误后,选择Tools>Programmer,进入程序下载界面。使用USB电缆时的界面如图2.1.14所示;使用ByteBlaster下载线下载时的界面如图2.1.15所示。然后点击Start,将LED_light.sof文件下载到FPGA芯片中。(注:初次使用USB电缆下载时,需要安装USB-Blaster驱动)。
P_L_C_技_术_网——可——编——程——控-制-器-技——术——门——户



15.观察实验结果是否与程序设计相吻合。

WWW_PLCJS_COM-PLC-技.术_网

评论内容
载入中...
载入中...
P
L
C



|










|


P
L
C









·最新招聘信息
·最新求职信息
·推荐产品
·推荐厂商
·栏目热门排行
·站内热门排行